Skip navigation
Please use this identifier to cite or link to this item: https://libeldoc.bsuir.by/handle/123456789/26832
Full metadata record
DC FieldValueLanguage
dc.contributor.authorАвдеев, Н. А.-
dc.date.accessioned2017-10-18T13:44:44Z-
dc.date.available2017-10-18T13:44:44Z-
dc.date.issued2013-
dc.identifier.citationАвдеев, Н. А. Функциональная верификация с помощью языка VHDL / Н. А. Авдеев // Информационные технологии и системы 2013 (ИТС 2013) : материалы международной научной конференции, БГУИР, Минск, Беларусь, 23 октября 2013 г. = Information Technologies and Systems 2013 (ITS 2013) : Proceeding of The International Conference, BSUIR, Minsk, 24th October 2013 / редкол.: Л. Ю. Шилин [и др.]. - Минск : БГУИР, 2013. – С. 170-171.ru_RU
dc.identifier.urihttps://libeldoc.bsuir.by/handle/123456789/26832-
dc.description.abstractПриводится обзор функций пакетов RandomPkg и CoveragePkg, позволяющих существенно ускорить разработку тестирующих программ, использующих настраиваемую генерацию псевдослучайных тестов и функциональное покрытие, для функциональной верификации цифровых устройств.ru_RU
dc.language.isoruru_RU
dc.publisherБГУИРru_RU
dc.subjectразработка тестирующих программru_RU
dc.subjectверификацияru_RU
dc.subjectматериалы конференцийru_RU
dc.subjectдокладыru_RU
dc.subjectверификация цифровых устройствru_RU
dc.subjectязык VHDLru_RU
dc.subjectVHDLru_RU
dc.subjectRandomPkgru_RU
dc.subjectCoveragePkgru_RU
dc.titleФункциональная верификация с помощью языка VHDLru_RU
dc.typeСтатьяru_RU
Appears in Collections:ИТС 2013

Files in This Item:
File Description SizeFormat 
Avdeyev_Funktsionalnaya.PDF552.19 kBAdobe PDFView/Open
Show simple item record Google Scholar

Items in DSpace are protected by copyright, with all rights reserved, unless otherwise indicated.